大佬讲解测控系统_七,在测控系统中实现D/A转换

测控系统是诸多设备不可缺少的组件之一,搭载测控系统的设备通常具备较高的安全性 。往期文章中,小编对温度测控系统、风力测控系统等均有所介绍 。本文中,小编将阐述如何在测控系统中采用IP核实现D/A转换 。如果你对测控系统具有兴趣,不妨继续往下阅读哦 。
一、前言
采用数字化技术、在测控系统中用IP核实现D/A转换,并且在1片可编程逻辑器件中实现 。它不受温度的影响,既可保持高分辨率,又可降低对电路精度和稳定度的要求,并减少元件的数量 。
在各类电子系统中,数字电路所占比重越来越大 。这主要是因为数字电路相对于模拟电路有一些突出的优点,例如:
· 数字电路中的有源器件工作在饱和区与截止区,工作状态稳定;
· 数字电路处理的是二值信号,易于存储和再生;
· 数字电路是由大量相同的基本单元,如门、触发器等所组成,易于大规模集成,易于自动化设计工具的应用等 。
由于数字电路的以上特点,再加上数字计算机和数字信号处理技术的迅速发展,使得数字电路从集成规模、应用范围及设计自动化程度等方面大大超过了模拟电路,越来越多的由模拟电路实现的功能转由数字电路实现,进入了电子系统数字化的时代 。
在测控系统采用数字化技术,将原来由模拟电路实现的D/A由数字电路实现 。
二、IP核介绍
IP(知识产权)核将一些在数字电路中常用,但比较复杂的功能块,如FIR滤波器、SDRAM控制器、PCI接口等设计成可修改参数的模块 。IP核的重用是设计人员赢得迅速上市时间的主要策略 。随着CPLD/FPGA的规模越来越大,设计越来越复杂(IC的复杂度以每年55%的速率递增,而设计能力每年仅提高21%),设计者的主要任务是在规定的时间周期内完成复杂的设计 。调用IP核能避免重复劳动,大大减轻工程师的负担,因此使用IP核是一个发展趋势 。
IP核包括硬IP与软IP 。可配置IP是参数化后的可重定目标IP,其优点是可以对功能加以裁剪,以符合特定的应用 。这些参数包括总线宽度、存储器容量、使能或禁止功能块 。
硬IP最大的优点是确保性能,如速度、功耗等 。然而,硬IP难以转移到新工艺或集成到新结构中,是不可重配置的 。
软IP是以综合形式交付的,因而必须在目标工艺中实现,并由系统设计者验证 。其优点是源代码灵活,可重定目标于多种制作工艺,在新功能级中重新配置 。
不过目前大多数库是收费的,但也可以从网上下载一些免费的IP核 。
三、用IP核实现的D/A转换器的功能及特点
数字到模拟转换器(DACs)将一个二进制数转换为与之对应的电压值,目前常用的D/A转换器都是由电阻或电容加权网络、受码元控制的开关和基准电压或电流源组成 。当D/A转换器需要转换的信号每次取样字长很长时,对这些电路的精度要求很高,并且还必须在整个温度范围和整个使用寿命期间内保持电路参数的稳定 。例如,一个16位的D/A转换器,其MSB的精度必须在1/2 16以内,这是很困难的 。所以,需寻求一种中保持高分辨率又可降低对电路精度和稳定度要求的方法 。
可综合的Delta-Sigma DAC(术语Delta-Sigma分别指算术差与和,即Δ-∑DAC),是Xilinx公司提供的免费IP核,可从网上下载得到 。
Delta-Sigma DAC使用数字技术,因而它不温度的影响,并且能在一片可编程逻辑器件中实现 。避免在D/A转换器中使用匹配电阻,不仅能更便宜,而且,其转换是线性的 。Delta-Sigma DAC实际上是高速单个位的DAC,用数字反馈技术,在输出端产生一串脉冲 。脉冲串中信号为高电平的时间部分与二进制输入成比例,当这个脉冲串通过一个模拟低通滤波器后就得到一个模拟输出信号 。
图1是一个典型的可编程逻辑器件实现的DAC的顶层电路图,输入信号有复位信号、时钟信号以及二进制数据总线 。输出DACoutDrvr驱动一个外部的低通滤波器Vout能从0V~Vcco 。这里Vcco是FPGA I/O块的供电电压 。输入/输出详细说明如表1所列 。
表1 输入输出描述表
DAC的二进制输入是一个无符号数 。“0”代表最低电压,输出的模拟电压也只有正的 。“0”输入产生0V输出,输入端全“1”,则输出近似达到Vcco 。
图2是Delta-Sigma DAC的原理框图,二进制输入的位宽是可变的 。为简单起见,电路原理图描述了一个8位二进制输入的DAC 。
在这个器件中,二进制加法器用来产生和,也用来产生差 。尽管Delta Adder的输入是无符号数,两个加法器的输出却都是有符号数 。Delta Adder计算DAC输入和当前DAC输出的差,并用一个二进制数表示 。因为DAC的输出是一个单个的位,因此它不是1就是0 。如图2所示,当输入加上由Sigma Latch的输出的两个拷贝与0构成的10位数,就产生差值,这也补偿了DACIN是无符号数的事实 。Sigma Adder将它原来的输出(保存在Sigma Latch中)与当前的Delta Adder的输出相加 。
图1中输出电压与输入电压的关系为
VOUT=(DACIN/(2MSBI+1))&TImes;VCCO
式中单位为V 。
例如,对于一个8位DAC(MSBI=7),最后的输出是这样:DACIN输入是0,则输出也是0;DACIN输入是十六进制数FF时,输出值为最大(255/256)&TImes;Vcco 。
阻容低通滤波器适合多数应用需要,一个简单的阻容低通滤波器就能工作得很好 。
Vs的定义是:DAC输入增加或减少时,在Vout端产生变化的绝对值 。对一个8位DAC,Vs等于(1/256)&TImes;Vcco 。
Vout能够产生在0V~Vcco之间可变的电压,具体的值由DACIN的位宽和输入的数值决定 。
Delta-Sigma DAC适合需要相对高精度的低频应用 。在这种应用中,电压不会很快地变化,因此,RC的时间常数可以很大,以减小噪声 。
这种DAC最广泛的应用就是产生通常直流电压 。这包括电压控制振荡器、电压控制运算放大器、I/O参数电压、可编程电压源、波形发生器(正弦、三角等)、A/D转换中的参考电压等 。
Delta-Sigma DAC是一个例子,说明高速可编程逻辑器件能用于混合信号系统,以减少元件的数量 。可编程逻辑器件的速度和密度使它们成为模拟信号产生和处理方面理想的元件 。
四、用VHDL语言编写的程序
library ieee;
use ieeestd_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
enTIty dac_ds is
port(reset :in std_logic;
clk :in std_logic;
din :in std_logic_vector(7 downto 0);--Signed integer
dout :out std_logic;
);
end dac_ds;
architecture arch_dac_ds of dac_ds is
signal error :std_logic_vector(9 downto 0);--Error accumulator is 2 bits larger
constant zeros:std_logic_vector(7 downto 0):=(others=>'0');
begin
process(reset,clk,din)
variable val :std_logic_vector(9 downto 0);
begin
if reset='1'then
error<=(others=>'0');
dout<='0';
elsif clk'event and clk='1' then
--val:=din+error;din is sign extended to nbits+2
val:=(din(din'high)&din(din'high)&din)+error;
if val(val'high)='0'then
dout<='1';
error<=val+("11"& zeros);
else
dout<='0';
error<=val+("01"&zeros);
end if;
end if;
end process;
end arch_dac_ds;
五、芯片的选择和配置
选择MAX7000S系列可编程逻辑器件,编译后由MAX+PLUS II软件自动配置进EMP7032SLC44芯片,将生成的目标文件通过编程电缆对器件进行编程 。
将该IP核实现的D/A转换器用于新型智能电阻炉温度控制仪中,因为调节炉温的信号不要求变化很快,因此DAC的输入二进制信号为缓变信号 。对于这种低频应用,可以将RC时间常数取得较大,以减小噪声 。这样,可综合的VHDL语言Delta-Sigma DAC模块配置进EMP7032芯片后,达到了预期的效果 。
【大佬讲解测控系统_七,在测控系统中实现D/A转换】 以上便是此次小编带来的“测控系统”相关内容,通过本文,希望大家对如何在测控系统中实现D/A转换具备一定的了解 。如果你喜欢本文,不妨持续关注我们网站哦,小编将于后期带来更多精彩内容 。最后,十分感谢大家的阅读,have a nice day!

    推荐阅读